amaranth: rename from nmigen, unstable-2021-02-09 -> 0.3

Cherry-picked from #153163.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
This commit is contained in:
leo60228 2022-01-01 23:55:07 -05:00 committed by Austin Seipp
parent 7c50aeaf11
commit 34d3df28d5
No known key found for this signature in database
GPG key ID: 25D2038DEB08021D
2 changed files with 11 additions and 11 deletions

View file

@ -18,17 +18,17 @@
}: }:
buildPythonPackage rec { buildPythonPackage rec {
pname = "nmigen"; pname = "amaranth";
version = "unstable-2021-02-09"; version = "0.3";
# python setup.py --version # python setup.py --version
realVersion = "0.3.dev243+g${lib.substring 0 7 src.rev}"; realVersion = "0.3";
disabled = pythonOlder "3.6"; disabled = pythonOlder "3.6";
src = fetchFromGitHub { src = fetchFromGitHub {
owner = "nmigen"; owner = "amaranth-lang";
repo = "nmigen"; repo = "amaranth";
rev = "f7c2b9419f9de450be76a0e9cf681931295df65f"; rev = "39a83f4d995d16364cc9b99da646ff8db6394166";
sha256 = "0cjs9wgmxa76xqmjhsw4fsb2mhgvd85jgs2mrjxqp6fwp8rlgnl1"; sha256 = "P9AG3t30eGeeCN5+t7mjhRoOWIGZVzWQji9eYXphjA0=";
}; };
SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}"; SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}";
@ -59,11 +59,11 @@ buildPythonPackage rec {
--replace "pyvcd~=0.2.2" "pyvcd" --replace "pyvcd~=0.2.2" "pyvcd"
''; '';
pythonImportsCheck = [ "nmigen" ]; pythonImportsCheck = [ "amaranth" ];
meta = with lib; { meta = with lib; {
description = "A refreshed Python toolbox for building complex digital hardware"; description = "A modern hardware definition language and toolchain based on Python";
homepage = "https://nmigen.info/nmigen"; homepage = "https://amaranth-lang.org/docs/amaranth";
license = licenses.bsd2; license = licenses.bsd2;
maintainers = with maintainers; [ emily ]; maintainers = with maintainers; [ emily ];
}; };

View file

@ -5376,7 +5376,7 @@ in {
nmigen-boards = callPackage ../development/python-modules/nmigen-boards { }; nmigen-boards = callPackage ../development/python-modules/nmigen-boards { };
nmigen = callPackage ../development/python-modules/nmigen { }; amaranth = callPackage ../development/python-modules/amaranth { };
nmigen-soc = callPackage ../development/python-modules/nmigen-soc { }; nmigen-soc = callPackage ../development/python-modules/nmigen-soc { };