From ccd250410e59802ebd3d6cac7a2b9bd8eabcdb7b Mon Sep 17 00:00:00 2001 From: Luflosi Date: Tue, 7 Mar 2023 23:52:35 +0100 Subject: [PATCH] yosys: 0.26 -> 0.27 https://github.com/YosysHQ/yosys/releases/tag/yosys-0.27 --- pkgs/development/compilers/yosys/default.nix | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix index dfe5152993f..538dd40db45 100644 --- a/pkgs/development/compilers/yosys/default.nix +++ b/pkgs/development/compilers/yosys/default.nix @@ -71,13 +71,13 @@ let in stdenv.mkDerivation rec { pname = "yosys"; - version = "0.26"; + version = "0.27"; src = fetchFromGitHub { owner = "YosysHQ"; repo = "yosys"; rev = "${pname}-${version}"; - hash = "sha256-0xV+323YTK+VhnD05SmvGv8uT4TzqA9IZ/iKl1as1Kc="; + hash = "sha256-u6SeVlmQVCF3xCGajxsv0ZAgMKg6aa6WdN3DLKTPNYo="; }; enableParallelBuilding = true;