yosys: 0.9+3962 -> 0.9+4052

This commit is contained in:
Pavol Rusnak 2021-03-31 23:11:34 +02:00
parent 021fb5a177
commit cfe4e1c3db
No known key found for this signature in database
GPG key ID: 91F3B339B9A02A3D

View file

@ -1,4 +1,5 @@
{ stdenv, lib
{ stdenv
, lib
, abc-verifier
, bash
, bison
@ -33,13 +34,13 @@
stdenv.mkDerivation rec {
pname = "yosys";
version = "0.9+3962";
version = "0.9+4052";
src = fetchFromGitHub {
owner = "YosysHQ";
repo = "yosys";
rev = "5d0cc54f5c36dea1d989438426a321b4554257c8";
sha256 = "1c85kga95lin6rcpr7cf80wr9f1a6irdrld9g23zmqdrxhick8y7";
rev = "687f381b6985d9dda7e11535628e2fafff267af5";
sha256 = "15lcj798ckh9zwvdqb5gnvicilsxjyxv01gcviijg310hq62n7vf";
};
enableParallelBuilding = true;