From ead2ae782e2802b9790db13d417f5fc8fdb186db Mon Sep 17 00:00:00 2001 From: Luflosi Date: Tue, 18 Jul 2023 21:39:17 +0200 Subject: [PATCH] yosys: 0.30 -> 0.31 https://github.com/YosysHQ/yosys/releases/tag/yosys-0.31 --- pkgs/development/compilers/yosys/default.nix | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/pkgs/development/compilers/yosys/default.nix b/pkgs/development/compilers/yosys/default.nix index 213a4762620..a25142fa9fd 100644 --- a/pkgs/development/compilers/yosys/default.nix +++ b/pkgs/development/compilers/yosys/default.nix @@ -71,13 +71,13 @@ let in stdenv.mkDerivation rec { pname = "yosys"; - version = "0.30"; + version = "0.31"; src = fetchFromGitHub { owner = "YosysHQ"; repo = "yosys"; rev = "${pname}-${version}"; - hash = "sha256-qhMcXJFEuBPl7vh+gYTu7PnSWi+L3YMLrBMQyYqfc0w="; + hash = "sha256-BGeqI0U2AdKgsQQw3f/C0l1ENPTlQ3Eoa8TaLRE+aWI="; }; enableParallelBuilding = true;