From fb361d399e1cb06140c8bfc4ca913a410aa02166 Mon Sep 17 00:00:00 2001 From: leo60228 Date: Sun, 2 Jan 2022 00:00:49 -0500 Subject: [PATCH] amaranth-soc: rename from nmigen-soc, unstable-2021-02-09 -> unstable-2021-12-10 Cherry-picked from #153163. Signed-off-by: Austin Seipp --- .../python-modules/amaranth-soc/default.nix | 35 +++++++++++++++++++ .../python-modules/nmigen-soc/default.nix | 35 ------------------- pkgs/top-level/python-packages.nix | 2 +- 3 files changed, 36 insertions(+), 36 deletions(-) create mode 100644 pkgs/development/python-modules/amaranth-soc/default.nix delete mode 100644 pkgs/development/python-modules/nmigen-soc/default.nix diff --git a/pkgs/development/python-modules/amaranth-soc/default.nix b/pkgs/development/python-modules/amaranth-soc/default.nix new file mode 100644 index 00000000000..e9fdd8c284e --- /dev/null +++ b/pkgs/development/python-modules/amaranth-soc/default.nix @@ -0,0 +1,35 @@ +{ lib +, buildPythonPackage +, fetchFromGitHub +, amaranth +, setuptools +, setuptools-scm +}: + +buildPythonPackage rec { + pname = "amaranth-soc"; + version = "unstable-2021-12-10"; + # python setup.py --version + realVersion = "0.1.dev49+g${lib.substring 0 7 src.rev}"; + + src = fetchFromGitHub { + owner = "amaranth-lang"; + repo = "amaranth-soc"; + rev = "217d4ea76ad3b3bbf146980d168bc7b3b9d95a18"; + sha256 = "dMip82L7faUn16RDeG3NgMv0nougpwTwDWLX0doD2YA="; + }; + + nativeBuildInputs = [ setuptools-scm ]; + propagatedBuildInputs = [ setuptools amaranth ]; + + preBuild = '' + export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}" + ''; + + meta = with lib; { + description = "System on Chip toolkit for Amaranth HDL"; + homepage = "https://github.com/amaranth-lang/amaranth-soc"; + license = licenses.bsd2; + maintainers = with maintainers; [ emily ]; + }; +} diff --git a/pkgs/development/python-modules/nmigen-soc/default.nix b/pkgs/development/python-modules/nmigen-soc/default.nix deleted file mode 100644 index 22422adad92..00000000000 --- a/pkgs/development/python-modules/nmigen-soc/default.nix +++ /dev/null @@ -1,35 +0,0 @@ -{ lib -, buildPythonPackage -, fetchFromGitHub -, nmigen -, setuptools -, setuptools-scm -}: - -buildPythonPackage rec { - pname = "nmigen-soc"; - version = "unstable-2021-02-09"; - # python setup.py --version - realVersion = "0.1.dev43+g${lib.substring 0 7 src.rev}"; - - src = fetchFromGitHub { - owner = "nmigen"; - repo = "nmigen-soc"; - rev = "ecfad4d9abacf903a525f0a252c38844eda0d2dd"; - sha256 = "0afmnfs1ms7p1r4c1nc0sfvlcq36zjwaim7775v5i2vajcn3020c"; - }; - - nativeBuildInputs = [ setuptools-scm ]; - propagatedBuildInputs = [ setuptools nmigen ]; - - preBuild = '' - export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}" - ''; - - meta = with lib; { - description = "System on Chip toolkit for nMigen"; - homepage = "https://github.com/nmigen/nmigen-soc"; - license = licenses.bsd2; - maintainers = with maintainers; [ emily ]; - }; -} diff --git a/pkgs/top-level/python-packages.nix b/pkgs/top-level/python-packages.nix index 749c77ab1b2..3ff95ce2272 100644 --- a/pkgs/top-level/python-packages.nix +++ b/pkgs/top-level/python-packages.nix @@ -5378,7 +5378,7 @@ in { amaranth = callPackage ../development/python-modules/amaranth { }; - nmigen-soc = callPackage ../development/python-modules/nmigen-soc { }; + amaranth-soc = callPackage ../development/python-modules/amaranth-soc { }; nocasedict = callPackage ../development/python-modules/nocasedict { };