amaranth-soc: rename from nmigen-soc, unstable-2021-02-09 -> unstable-2021-12-10

Cherry-picked from #153163.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
This commit is contained in:
leo60228 2022-01-02 00:00:49 -05:00 committed by Austin Seipp
parent 34d3df28d5
commit fb361d399e
No known key found for this signature in database
GPG key ID: 25D2038DEB08021D
3 changed files with 36 additions and 36 deletions

View file

@ -0,0 +1,35 @@
{ lib
, buildPythonPackage
, fetchFromGitHub
, amaranth
, setuptools
, setuptools-scm
}:
buildPythonPackage rec {
pname = "amaranth-soc";
version = "unstable-2021-12-10";
# python setup.py --version
realVersion = "0.1.dev49+g${lib.substring 0 7 src.rev}";
src = fetchFromGitHub {
owner = "amaranth-lang";
repo = "amaranth-soc";
rev = "217d4ea76ad3b3bbf146980d168bc7b3b9d95a18";
sha256 = "dMip82L7faUn16RDeG3NgMv0nougpwTwDWLX0doD2YA=";
};
nativeBuildInputs = [ setuptools-scm ];
propagatedBuildInputs = [ setuptools amaranth ];
preBuild = ''
export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}"
'';
meta = with lib; {
description = "System on Chip toolkit for Amaranth HDL";
homepage = "https://github.com/amaranth-lang/amaranth-soc";
license = licenses.bsd2;
maintainers = with maintainers; [ emily ];
};
}

View file

@ -1,35 +0,0 @@
{ lib
, buildPythonPackage
, fetchFromGitHub
, nmigen
, setuptools
, setuptools-scm
}:
buildPythonPackage rec {
pname = "nmigen-soc";
version = "unstable-2021-02-09";
# python setup.py --version
realVersion = "0.1.dev43+g${lib.substring 0 7 src.rev}";
src = fetchFromGitHub {
owner = "nmigen";
repo = "nmigen-soc";
rev = "ecfad4d9abacf903a525f0a252c38844eda0d2dd";
sha256 = "0afmnfs1ms7p1r4c1nc0sfvlcq36zjwaim7775v5i2vajcn3020c";
};
nativeBuildInputs = [ setuptools-scm ];
propagatedBuildInputs = [ setuptools nmigen ];
preBuild = ''
export SETUPTOOLS_SCM_PRETEND_VERSION="${realVersion}"
'';
meta = with lib; {
description = "System on Chip toolkit for nMigen";
homepage = "https://github.com/nmigen/nmigen-soc";
license = licenses.bsd2;
maintainers = with maintainers; [ emily ];
};
}

View file

@ -5378,7 +5378,7 @@ in {
amaranth = callPackage ../development/python-modules/amaranth { };
nmigen-soc = callPackage ../development/python-modules/nmigen-soc { };
amaranth-soc = callPackage ../development/python-modules/amaranth-soc { };
nocasedict = callPackage ../development/python-modules/nocasedict { };