Commit graph

90 commits

Author SHA1 Message Date
Luflosi 23ba1ad053
yosys: 0.24 -> 0.25
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.25
2023-01-06 18:07:06 +01:00
Luflosi 8814e204ef
yosys: 0.23 -> 0.24
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.24
2022-12-06 18:58:32 +01:00
Luflosi a6151294db yosys: 0.22 -> 0.23
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.23
The protobuf backend was removed in https://github.com/YosysHQ/yosys/pull/3508.
2022-11-16 01:35:08 -06:00
Henner Zeller ec912ab1dd yosys-symbiflow: 2022.09.27 -> 2022.11.07
Update plugins to be compatible with latest surelog/uhdm (1.45).
Also fix expected googletest path so that it comes from the
nix environment, not third_party/.

Signed-off-by: Henner Zeller <h.zeller@acm.org>
2022-11-08 12:47:27 -08:00
Valentin Gagarin b02d74fb5e
Merge pull request #173225 from matthuszagh/uhdm
Add systemverilog plugin to yosys
2022-11-04 18:37:13 +01:00
Luflosi 9693a9a2e8 yosys: 0.20 -> 0.22
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.22
2022-10-14 19:27:01 -05:00
Doron Behar 9b16f08a95 yosys-ghdl: 2021.01.25 -> 2022.01.11 2022-10-08 14:53:52 +03:00
Doron Behar cd3fc9ea27 yosys-ghdl: Formatting - new lines between inputs and arguments 2022-10-08 14:53:52 +03:00
Matt Huszagh c526f70d1b symbiflow: update to 2022.09.27 2022-09-27 18:04:13 -07:00
Artturin c6568adb00 treewide: makeWrapper buildInputs to nativeBuildInputs
most found with https://github.com/siraben/nix-lint
2022-08-16 13:14:19 +03:00
Austin Seipp 5b93ac113c yosys: 0.18 -> 0.20
Includes necessary `abc` update as well.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2022-08-12 13:23:18 -05:00
Luflosi 118e07917e
yosys: 0.17 -> 0.18
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.18
2022-06-20 10:40:20 +02:00
Luflosi d1db18bc69
yosys: 0.16 -> 0.17
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.17
2022-06-20 10:40:19 +02:00
Matt Huszagh 5d0452a9ae symbiflow: add systemverilog plugin 2022-05-15 21:35:13 -07:00
Luflosi 338ce3ed6a yosys: 0.15 -> 0.16
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.16
2022-04-12 08:38:25 -05:00
Luflosi 9488c22d58
yosys: 0.12+54 -> 0.15
https://github.com/YosysHQ/yosys/releases/tag/yosys-0.15
2022-03-08 18:28:13 +01:00
Luflosi b255f6d60c
yosys: fix homepage
The old link was now pointing at a casino website.
2022-03-08 18:28:12 +01:00
OllieB 12cc34ce2d yosys: Add yosys-symbiflow-plugins 2022-01-08 14:48:28 +00:00
Austin Seipp 8efa46a893 yosys: 0.12+36 -> 0.12.54, with yosys-bluespec update
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2022-01-06 09:19:29 -06:00
Austin Seipp 1c9532ae6d yosys: 0.11+52 -> 0.12+36
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-12-17 03:50:48 -06:00
Austin Seipp a79ca33d94 yosys: 0.10+1 -> 0.11+52, with abc update
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-12-02 21:48:51 -06:00
Martin Povišer b50d43cc94 yosys: remove pointless patching
This fixes the patch introduced in:

  94a047ca74 (yosys: fix build on darwin, 2021-11-09)

Because we supply yosys with an external build of ABC, the patched
ABCMKARGS variable is without influence. Even if we were building with
in-tree ABC, that part of the patch is wrong, so drop it altogether.
2021-11-10 14:25:59 +01:00
Martin Povišer 94a047ca74 yosys: fix build on darwin
Attempt to work around a confusion in yosys' build system that causes
builds under clang to fail.
2021-11-09 14:10:19 +01:00
Austin Seipp 874ebfd5a8 yosys: 0.9+4276 -> 0.10+1
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-09-29 13:14:27 -05:00
Austin Seipp 5540e1b0dd yosys-bluespec: 2021.01.17 -> 2021.08.19
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-08-19 04:16:32 -05:00
Austin Seipp 0cfbb59066 yosys: 0.9+4272 -> 0.9+4276
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-08-19 04:16:32 -05:00
Austin Seipp 2af9fc4c2a yosys: 0.9+4221 -> 0.9+4272
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-08-15 03:20:12 -05:00
Austin Seipp 59297dde88 yosys: 0.9+4052 -> 0.9+4221
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-07-27 14:27:30 -05:00
Pavol Rusnak cfe4e1c3db
yosys: 0.9+3962 -> 0.9+4052 2021-03-31 23:11:34 +02:00
Austin Seipp 39727d1254
yosys: 0.9+3905 -> 0.9+3962
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-02-25 17:55:46 -06:00
Austin Seipp 142760c9fd
yosys: 0.9+3830 -> 0.9+3905
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-02-13 21:16:03 -06:00
Sandro Jäckel 5bf5284c21
yosys: mark broken on darwin 2021-02-13 01:07:42 +01:00
Eduardo Sánchez Muñoz 5def007f40 ghdl-yosys: 2021.01.01 -> 2021.01.25 2021-02-10 10:16:38 +01:00
Austin Seipp 6ec4a219ce
yosys-bluespec: 2021.01.14 -> 2021.01.17
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-17 17:15:01 -06:00
Austin Seipp 9a951db846
yosys: some more small fixes from review feedback
Fixes up the usage of patches/postInstall. Also removes `stdenv.lib` and
other minor tweaks.

Based on feedback from Sandro and Mihai.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15 16:09:51 -06:00
Austin Seipp 365ef90263
yosys: cherry-pick some minor fixes (cf d81e4d9f6)
d81e4d9f66 contained some minor fixes to the yosys derivation
that make it a little easier to read and maintain. Incorporate those.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15 16:09:50 -06:00
Austin Seipp ec1830e696
init: experimental yosys-{bluespec, ghdl} plugins
These plugins can be included in a closure, along with the `yosys`
derivation, and they will be automatically picked up for use. For
example, this allows you to include 'yosys-bluespec' in your
`buildInputs`, and then immediately run:

    $ nix-shell -p yosys yosys-bluespec yosys-ghdl
    $ yosys -m bluespec -p 'help read_bluespec'
    $ yosys -m ghdl -p 'help ghdl'

These two plugins are a bit experimental, admittedly, but they are good,
clean examples of how to write and use the yosys plugin infrastructure,
and make it easy to test updates, etc.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15 16:09:50 -06:00
Austin Seipp 2660037f8c
yosys: enable loading "out of band" plugins
By default, when yosys looks for plugins with the `-m` flag or `plugin`
command, it always looks in `YOSYS_PREFIX/share/yosys/plugins` for a
`.so` file, and loads that.

By design, this is intended to be a single, global, mutable location
such as `/usr/share/yosys/...` on disk, and plugins are supposed to
install their `.so` files here after yosys is installed, and they all
coexist together. Obviously, this won't work for us, but users might
expect these plugins to still work. More importantly, they won't want to
add special cases to their build systems.

Instead, to allow Nix users to use yosys plugins with the same UX (e.g.
natively call `plugin bluespec` or `-m ghdl`), we add a patch to yosys
that allows it to search a new `NIX_YOSYS_PLUGIN_DIRS` search path
environment variable. In tandem, we add a setup hook that adds to this
search path if a package has a `$out/share/yosys/plugins` directory.

Thus, it's enough to just include `yosys`, and any package that has a
yosys plugin in `$out/share/yosys/plugins`, and you can load it with
`-m` or the `plugin` command.

We could use a style like the haskellPackages set, where the set of
packages are "encased" in a lambda, and we pass packages that are
compatible with that version of the compiler:

    haskell.packages.ghc8102.ghcWithPackages (p: with p; [ ... ])

but, realistically, there will probably only ever be one version of
yosys and one set of compatible plugins, so this seems overdone.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-15 10:13:56 -06:00
Austin Seipp ca27105b04
Revert "Merge pull request #105709 from CajuM/symbiflow"
This reverts commit 02828f91f1, reversing
changes made to f0a7fce6ee.
2021-01-15 10:13:27 -06:00
Mihai-Drosi Câju d81e4d9f66 yosys: Added plugins support and various fixes
* fixed buildInputs and nativeBuildInputs for cross compilation
* don't use pkgconfig alias
* simplified patchPhase
* made the version variable overridable in preBuild
2021-01-10 09:32:05 +02:00
Austin Seipp 57f664002b
yosys: 0.9+3715 -> 0.9+3803
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2021-01-03 06:06:29 -06:00
Austin Seipp 56d7883363
yosys: 0.9+3675 -> 0.9+3715
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-12-02 07:18:08 -06:00
Austin Seipp e80eeae690
yosys: 2020.10.20 -> 0.9+3675 (new version scheme)
Yosys now has their own official scheme for tagging unstable builds;
an automation robot appears from the darkness once every few hours,
bumps the version number, and disappears as silently as they came.

While Nix packages use a date-based version format for unstable
packages, many (most!) users of Yosys use an unstable version.  And so
synchronizing the version of the Nix package with the repository version
is significantly less confusing to users. This also adds a safeguard to
ensure others don't forget to bump the version number correctly in the
future.

The testsuite also saw an overhaul (now parallel to help build time), so
some tweaks were needed to get it working again.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-11-12 15:23:03 -06:00
Austin Seipp a7e87bdd58
yosys: 2020.08.22 -> 2020.10.20
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-10-20 09:52:41 -05:00
Austin Seipp 4c5dd4c73b
yosys: 2020.07.07 -> 2020.08.22
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-08-23 11:01:20 -05:00
Austin Seipp 63e55fae00
yosys: 2020.06.19 -> 2020.07.07
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-07-09 09:12:41 -05:00
Austin Seipp 2c91b4358a
yosys: 2020.06.16 -> 2020.06.19
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-06-20 11:34:10 -05:00
Austin Seipp c2e1f9bc07
yosys: 2020.06.11 -> 2020.06.16
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-06-16 11:38:07 -05:00
Austin Seipp 13e52db31e
yosys: 2020.03.24 -> 2020.06.11
Also includes a bump to `abc-verifier`.

Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-06-11 23:14:07 -05:00
Austin Seipp d35d9a9db2
yosys: 2020.03.16 -> 2020.03.24
Signed-off-by: Austin Seipp <aseipp@pobox.com>
2020-03-25 06:46:01 -05:00